serial monitor

ruzne programy,konverze dat, digitalizace, atd...
Uživatelský avatar
packa
Příspěvky: 6943
Registrován: 7. 2. 2007, 6:42
Bydliště: Královehradecký kraj

31. 1. 2017, 5:50

ještě jsem na to koukal.
ftdi i další pošlou 52 paketů .
ale ftdi pak ještě pošle dalsích cca 170 paketů
udělal jsem si i monitor s ledkama a je to tak po cca 3 sekundách to posílá další pakety . přez jiné převodníky to neproleze .



regulátor na linku vůbec nic neposílá , čeká až mu příjde požadavek z pc
Naposledy upravil(a) packa dne 31. 1. 2017, 5:52, celkem upraveno 1 x.
Uživatelský avatar
robokop
Site Admin
Příspěvky: 22386
Registrován: 10. 7. 2006, 12:12
Bydliště: Praha
Kontaktovat uživatele:

31. 1. 2017, 5:52

To je hodne podivne
Vsechna prava na chyby vyhrazena (E)
Uživatelský avatar
packa
Příspěvky: 6943
Registrován: 7. 2. 2007, 6:42
Bydliště: Královehradecký kraj

31. 1. 2017, 5:54

ono i s tím původním to regulátor vidí až právě po těch pár sekundách většinou tak 6s
Uživatelský avatar
robokop
Site Admin
Příspěvky: 22386
Registrován: 10. 7. 2006, 12:12
Bydliště: Praha
Kontaktovat uživatele:

31. 1. 2017, 5:57

To teda sacuju mezi softwarem a driverem v systemu
Vsechna prava na chyby vyhrazena (E)
HonzaCh
Příspěvky: 289
Registrován: 21. 11. 2006, 8:57
Bydliště: Ostrava

31. 1. 2017, 6:07

Není problém latence? Seriák to sype ven průběžně, zatímco převodník to chvilku může sbírat a pak poslat pár bajtů najednou v jednom USB paketu, a zas čekat... FTDI mělo v .INF-u na to nějaký parametr, pokud si pamatuju (uplatní se po restartu), možná to umí i jiné převodníky.
H.
risa
Příspěvky: 146
Registrován: 23. 9. 2007, 2:39
Bydliště: Hodonínsko

31. 1. 2017, 6:22

už nějaký ten pátek sw ovladače pro ftdi čipy ověřují id čipu,
takže pokud máš někde virtuální sériový port postaven na nějakém procesoru ,či klonu ftdi, a použiješ v systému ovladač ftdi,tak nebudou spolu komunikovat
Uživatelský avatar
packa
Příspěvky: 6943
Registrován: 7. 2. 2007, 6:42
Bydliště: Královehradecký kraj

31. 1. 2017, 6:30

Mám tu několik různých převodníků ,usb/ttl a usb/rs232 a na všem ostatním mi převodníky chodí korektně a to i na zařízeních které využívají komplet RS232 (všech 9 pinů)
tady to chodí jen po třech drátech .
původní převodník je origo čína a chodí .
lubos
Sponzor fora
Příspěvky: 5088
Registrován: 2. 5. 2007, 3:47
Bydliště: Praha

31. 1. 2017, 7:53

risa píše:už nějaký ten pátek sw ovladače pro ftdi čipy ověřují id čipu,
takže pokud máš někde virtuální sériový port postaven na nějakém procesoru ,či klonu ftdi, a použiješ v systému ovladač ftdi,tak nebudou spolu komunikovat
Mám pocit že tohle byl problém hodně klonů arduin kdy se origo ftdi výrobce převodníku naštval a od nějaké verze gui to poznalo že tam je klon a člověk s tím nehnul.
XpertMill, Gravos, Ninos, Galaad
IQ156
-1500Kč, -520Kč, -1čokoláda, - 28000Kč
www.freeair.cz www.rotexelectric.eu www.retrofitcnc.cz
HonzaCh
Příspěvky: 289
Registrován: 21. 11. 2006, 8:57
Bydliště: Ostrava

31. 1. 2017, 7:55

Jak čtu ty skromné superlativy na http://www.solar-elektrarna.cz" onclick="window.open(this.href);return false; :shock:...zkusil bych se pachatele zeptat, v čem by na tak skvělém zařízení mohla být zakopaná sabáka. Nativní RS232 je stále větší vzácnost, tak by to podporovat měli.
H.
Uživatelský avatar
packa
Příspěvky: 6943
Registrován: 7. 2. 2007, 6:42
Bydliště: Královehradecký kraj

31. 1. 2017, 8:11

bohužel ti o tom neví vůbec nic , dokonce tam špatně uvádějí že to má RS485, ale je to skutečně rs232 i vevnitř je převodník max3232.

Možná blbost , ale mělo by jít zaznamenat co vysílá sw pro navázání komunikace , nacpat to do nějakého (arduina) a pak už by mohla komunikace frčeti přez tu wifi , ten regulátor když se jednou spojí s pc tak potom stále posílá v určitém intervalu údaje do pc. Blbost ?
Mex
Příspěvky: 10287
Registrován: 6. 2. 2014, 10:29

31. 1. 2017, 8:13

Téměř jistě to bude nějaká ochcávka.
Asi není náhoda, že přímo v instalačním balíku toho monitorovacího SW mají přibalené drivery zrovna pro FTDI.
Takže buď je to vázané jenom na kabely od nich (buď si dali svůj PID nebo udělali vazbu přes sériové číslo), nebo používají nějakou specialitu chipů FTDI.
Chtělo by si to někde půjčit nějaký jiný převodník s FTDI a zkusit to s ním. Pokud to pojede, tak je použití nějaké nestandardní funkce.
Pokud to nepojede ani tady, tak do toho jiného FTDI převodníku nasypat VID, PID a serial number z toho jejich (FTDI to má v EEPROM, dá se snadno změnit).
Každopádně to s nějakým převodníkem WiFi/serial vidím dost bledě. Nebude to asi náhoda, standardně obsloužit sériový port umí i špatný programátor. Takže buď je to záměrně, nebo mají ještě horší než špatné programátory.

Ještě poznámka k předchozím postům. FTDI sice dělá taškařice se svými drivery a jejich nefunkčnosti na FTDI klonech. Ale to rozhodně nemá v této kauze žádný vliv.
bronek999
Příspěvky: 521
Registrován: 6. 3. 2014, 6:50

1. 2. 2017, 8:41

Mozno by si mohol skusit pripojit ten prevodnik wifi az za originalny USB-rs232 ftdi.
Bude tam cosi navyse, ale ak to vyzaduje orifinal prevodnik tak ten tam ostane a snad by to mohlo ist.

Teraz som si to precital znovu a vidim ze cast "prevodnika" na strane PC mas len softverovu.
Mozno pripojit k tomu usb->rs232 protikus rs232->usb a dalsim programom citat z toho druheho prevodnika a posielat na wifi a spat.
Uživatelský avatar
packa
Příspěvky: 6943
Registrován: 7. 2. 2007, 6:42
Bydliště: Královehradecký kraj

1. 2. 2017, 10:49

jo to jsem si také říkal že bych spároval dva tyto wifi převodníky , ale já to chtěl mít na wifi co mám doma a mít možnost se připojit odkudkoli kde budu mít potřebný sw.

mám tenhle analyzér tak na to mrknu co tam chodí http://arduino-shop.cz/arduino/1256-usb ... 24695.html" onclick="window.open(this.href);return false;
Uživatelský avatar
packa
Příspěvky: 6943
Registrován: 7. 2. 2007, 6:42
Bydliště: Královehradecký kraj

1. 2. 2017, 5:36

TAk jsem na linku připojil logický analizér a poznatek je takový že sw vysílá nějaký paket ( požadavek na odpověď) kde po několika pokusech příjde odpověď od regulátoru , který se představí (ML2420) a pak vipíše svoje ID a pak už jen po cca 1s posílá stavové parametry . takže když jej donutím k tomu aby začal posílat tak je vyhráno .
Byl by někdo ochotný mrknout na log a poradit s tím co zkusit poslat regulátoru aby aktivoval odesílání dat ?
jen pro zajimavost :
log regl.bmp
(3 MiB) Staženo 264 x
Uživatelský avatar
filla
Příspěvky: 3536
Registrován: 1. 12. 2013, 12:55
Bydliště: Brno
Kontaktovat uživatele:

1. 2. 2017, 5:56

nebylo by lepsi pouzit na analyzu wireshark?
"do řiti se řítíme, ani o tom nevíme.."
Odpovědět

Zpět na „Ostatní software“